ATOMIC LAYER DEPOSITION

Plasma-Enhanced ALD (PE-ALD)

Plasma-enhanced ALD, or PE-ALD, had its start in the 90s and is now a standard offering by ALD equipment suppliers. It mitigated some limitations of thermal ALD and opened the door for new materials, processes, and applications. Learn here the basics of plasma-enhanced ALD, how it differs from thermal ALD and where Beneq is using the technique.

ALD Reactor

Article co-written by Dr. D.J. Monsma from Atomiclayerdeposition.com

Atomic Layer Deposition (ALD) is a self-limiting thin film coating method that is defined by alternating exposure of a substrate to chemical precursors. This results in the sequential deposition of (sub)monolayers and a unique conformality over 3D surfaces.

The ALD process is usually a combination of reactions between the substrate or coating surface, and a precursor or reactant. In ALD processes such as for the coating of Al2O3 using the typical TMA precursor and H2O reactant process, the activation energy required for the surface reactions is solely provided by heating the sample. Therefore, these processes are called thermal ALD processes.

Limitations of thermal ALD

Although the thermal ALD process is simple in use, it has some limitations:

  1. For some ALD reactions or precursors, thermal activation may not be sufficient, limiting the number of materials that can be grown.
  2. In thermal ALD, only the substrate temperature, and to a lesser extent, precursor vapor pressure, can influence the ALD process for a certain precursor chemistry.
  3. Some popular oxidants, like water, can stick to the reactor walls, requiring high temperatures to reduce cycle time.
  4. Basic thermal ALD processes can be rather slow, about 1 atomic layer per second.

Plasma-enhanced ALD basics

In addition to thermal activation, reactants can be activated by means of a plasma source, known as plasma-enhanced ALD or PE-ALD. A plasma is a gaseous mixture of neutral and charged particles that is macroscopically neutral.

Most materials processing plasmas are generated by a strong electric field at low gas pressures (<10 Torr) that accelerate electrons present in the gas to high kinetic energies. When these electrons collide with the atoms and/or molecules of the background gas, they can ionize, excite, or dissociate these gas species, thus yielding electrons, ions, reactive atomic and/or molecular radicals, and photons.

Plasma-enhanced ALD (PE-ALD) uses the species generated in a plasma as reactants in the ALD process. Radicals and ions that arrive at the sample surface can provide additional energy to the surface, leading to physical changes in the sample and a materials processing space not easily accessible by chemical methods only. Mainly O2, N2, NH3, and H2 plasmas (or mixtures thereof) have been used for the growth of oxides, nitrides, and metal films.

Advantages of PE-ALD

The use of plasma-enhanced ALD has multiple benefits that make it an attractive alternative to traditional thermal ALD processes.

  1. The high reactivity of the plasma reduces the amount of thermal energy needed to activate the surface. This allows for depositions at lower temperatures than possible with thermal processing. This also enables deposition on thermally-sensitive substrates.
  2. Plasmas allow for more versatility in ALD processing. They introduce a variety of new parameters, like plasma power and composition, that can be tweaked to influence the process chemistry and resulting properties of the film.
  3. PE-ALD offers the ability to use different precursors and deposit new materials. The high reactivity allows more thermally stable precursors to be used and systems which have no thermal ALD process, like some elemental metals, are possible with PEALD.
  4. Some plasma-enhanced ALD processes show an increased growth rate compared to their thermal counterparts.

Experimental results for Al2O3, and V2O5 are shown here to illustrate how plasma can affect ALD deposition:

Fig. 1 Ilustration of some of the advantages of (remote) PE-ALD as compared to thermal ALD (a) growth per cycle (GPC) as a function of deposition temperature for the growth of Al2O3 using 2s TMA and 5s H2O or H2O plasma (fig. 1.7 in Julien Bachmann – Atomic layer deposition in energy conversion applications (2017, Wiley VCH).pdf)

Other PE-ALD features

Some other advantages of PE-ALD include the fact that some important materials show poor properties with thermal ALD, for example SiO2. SiO2 is one of the most important coatings for semiconductor and optical industries, and the best properties are obtained with plasma [K. Arts video]. Also, PE-ALD is very useful for the reduction of oxide impurities, using a hydrogen plasma. It is particularly well suited for carbides, nitrides and a range of pure metals.

PE-ALD is not without its disadvantages, however. Some examples include:

  • Recombination of the radicals on the sidewalls limits the conformality in high aspect ratio structures, although aspect ratios as high as 80 can been done successfully with a plasma [Arts et al.].
  • The ions and UV photons from the plasma may generate specific defects in the growing layer.
  • Use of a plasma requires more complicated and therefore expensive reactor designs.
  • Temporal batch PE-ALD with large substrate stacks is not possible (spatial batch is possible).

Deposition control vs coating methods

The influence of plasma on deposition and film control are summarized in Table 1:

ThermalThermal (batch)Thermal (spatial)Plamsa (remote)Plasma (direct)Plasma (spatial)Thermal CVDEvaporationSputtering
Cost$$$$$$$$$$$$$$$$$$
Dep. Speed [nm/min]1-510-251-101-101-105-351-1001-1001-40
Conformality++++++++++++++++++++++– – –
Low temp+++++++++++++++– – –++++++
Adhesion++++++++++++++++– – –++
Film Density+++++++++++++++++
Thickness control++++++++++++++++++
Composition control++++++++++++++++++++
Low Impurities+++++++++++++++++++
Range of materials+++++++++++++++++++
Ion energy control and substrate biasing   +++++++++  +
In-situ etching   +++++++++   
Carbides, nitrides++++++++++++++++
Beneq Tool ExampleTFS 200P400AGenesis ALDTransformTransformC2R   

Plasma solutions at Beneq

At Beneq, PE-ALD is a staple for many of our solutions. For example, our semiconductor team uses a unique plasma pre-clean and deposition combination for engineering robust interfaces for passivation in power devices. Explore below some of our markets using plasma-enhanced deposition.

Important references:

Plasma-assisted atomic layer deposition: basics, opportunities and challenges, Profijt et al 2011

 Status and prospects of plasma-assisted atomic layer deposition, Knoops et al 2019

Contact us